Sharing the stage: 10-Gig Ethernet and Serial RapidIO

Sept. 1, 2007
Military designers see Serial RapidIO and 10 Gigabit Ethernet complementing each other in systems today and the near future.

Military designers see Serial RapidIO and 10 Gigabit Ethernet complementing each other in systems today and the near future. Meanwhile Rocket IO in field-programmable gate arrays is exciting board designers.

By John McHale

Only a few years ago a plethora of switched-fabric technologies were tested, studied, and promoted as the next gateway to high-speed I/O.

Well, the dust has settled and sharing the main stage in military circles are Ethernet, 10-Gigabit Ethernet, and Serial RapidIO. In fact, the different standards complement each other in military systems.

Serial RapidIO is in wide use in military applications where heavy-duty moving of raw data within the system is necessary, says Nauman Arshad, senior product marketing manager for Curtiss-Wright Controls Embedded Computing’s Switching Center of Excellence. He said this is especially true in digital signal processing applications.

The Serial RapidIO switched fabric connects box to box and works through backplanes, while 10-Gigabit Ethernet will connect system to system, says Richard Jaenicke, director of product marketing at Mercury Computer Systems in Chelmsford, Mass. In fact 10-Gigabit Ethernet packets can be converted to Serial RapidIO in the system when moving box to box, then into PCI Express, and then back out as 10-Gigabit Ethernet, Jaenicke says.

Jaenicke says this will be the case for some time to come-10-Gigabit Ethernet has too many latency issues to be used board to board.

Serial RapidIO is also much more scaleable than Gigabit Ethernet or 10-Gigabit Ethernet currently, Arshad says.

Mercury Computer Systems PowerStream 7000 FCN (FPGA Compute Node) brings sensor data in through 10-Gigabit Ethernet over fiber for Lockheed Martin for use with the Aegis Weapons System.
Click here to enlarge image

“Serial RapidIO is still best bet on the backplane,” Jaenicke says.

10-Gigabit Ethernet primarily links systems together, says David Compston, director of product marketing for GE Fanuc Embedded Systems in Towcester, England. Yes, there are latency issues when using it in box-to-box applications, however, “that is not to say we are inflexible” if 10-Gigabit Ethernet becomes a viable solution down the road. Serial Rap-idIO is still the choice today, he adds.

A slightly different take on I/O from GE Fanuc is daqNet, a network I/O box for sonar and laboratory use, Compston says. “It is a self-contained system.”

Designed for sonar, vibration analysis, and test-and-measurement applications, the daqNet is an autonomous high-speed acoustic data processing solution. The device provides data connections and control capabilities by means of a dual Gigabit Ethernet interface within a 1U form factor. The daqNet comes ready to run right out of the box; connect the daqNet to the network, configure it with the sample application provided, and test the connection through hardware implemented AD/DA test channels, GE Fanuc officials say.

The daqNet has been optimized to provide high-performance high-speed signal conversion capability for as many as 192 channels of analog I/O or 240 channels of digital I/O, Compston says.

The high-density 6U VPX Gigabit Ethernet multilayer switch/router board from Curtiss-Wright Controls Embedded Computing is available with 12-, 20-, or 24-Gigabit Ethernet ports and as many as four 10-Gigabit Ethernet ports, which are useful for system integrators architecting secure high-performance IPv4/v6 Intra-Platform Networks (IPNs).
Click here to enlarge image

As the other industries start to adopt 10-Gigabit Ethernet, specifically the storage industry, the latency issues will be solved and 10-Gigabit Ethernet will find its way into the backplane, Jaenicke says.

Ethernet is everywhere and its advanced lifecycle and roadmap for improved performance cannot be beat, says Jack Staub, chief executive officer of Critical I/O in Irvine, Calif. That trend is true not only for commercial systems, but military ones as well, Staub says.

For some time there were technical hurdles to be overcome to install Ethernet in military systems, Staub says. They have been cleared, he adds.

The technology is getting a lot of traction now and defense programs are just beginning to adopt the standard today, says Greg Bolstad, systems architect at Critical I/O. 10-Gigabit Ethernet will address a lot of the military’s bandwidth needs, he adds.

Silicon stack

There are many advantages to using Gigabit Ethernet in data-intensive real-time systems, Bolstad says. The use of 1- and/or 10-Gigabit Ethernet allows interoperability with a wide variety of standard, low-cost Ethernet hardware and protocols, while the incorporation of Critical I/O’s XGE Silicon Stack technology enables ultra-high-performance operation where needed.

XGE Silicon Stack TCP/IP Offload Engine (TOE) PCI mezzanine cards (PMCs) are designed for data-intensive real-time applications. The XGE hardware features a silicon stack that implements TCP/IP offload in silicon, providing the highest-performance, low host-CPU loading, and deterministic operation. This level of performance is not available with processor-based solutions, Staub says.

Competing PMC TOE solutions are often, in reality, simply processor-based PMCs that use a standard CPU running a standard software TCP/IP stack, along with a standard non-TOE Ethernet NIC, Staub says. “While these types of PMCs do serve to offload TCP/IP processing from the host processor, they do not offer the low latency and consistently high and deterministic performance that XGE Silicon Stack TOE provides.”

Processor-based TOE PMCs are basically full-processor PMC boards (CPU, memory, bridges, etc.) that implement a traditional TCP/IP stack in software that runs on a general-purpose CPU, perhaps embedded in a field-programmable gate array (FPGA), he explains.

Critical I/O’s XGE4022 is a hardware-based TCP/IP Offload Engine (TOE) available in a conduction-cooled PMC form factor. The XGE product family differentiates itself from “non-TOE” standard Gigabit Ethernet (as well as simple processor-based TOE architectures) by delivering high sustained throughput, maximum host offload, ultra-low latency, and deterministic behavior.

Designed for sonar, vibration analysis, and test-and-measurement applications, the daqNet from GE Fanuc Embedded Systems is an autonomous high-speed acoustic data processing solution, that provides data connections and control capabilities by means of a dual Gigabit Ethernet interface within a 1U form factor.
Click here to enlarge image

The combination of the XGE hardware-based TOE performance characteristics and its programmable architecture enables the use of Gigabit Ethernet as a high-performance network fabric-one that offers the ubiquity, compatibility, and simplicity of standard Ethernet and the performance characteristics needed for high-performance embedded systems, Staub says.

Standard Gigabit Ethernet interfaces stifle the performance of even the most powerful CPUs when trying to move data at high rates, let alone at full wire speed rates (1Gbps). This is due to the significant overhead caused by the TCP/IP protocol stack. The XGE series processes the complete protocol stack including Ethernet, TCP, UDP, IP, iSCSI, and RDMA in dedicated silicon and frees all I/O protocol processing from the host system. By leveraging the XGE product line, you can realize wire speed transfer rates, minimal host processor overhead, microsecond latency, and high determinism. Processor-based TOE interfaces merely offload the host and are still plagued with high latency, poor reliability, and unpredictable behavior.

Full hardware TOE, such as Critical I/O’s XGE Silicon Stack Gigabit Ethernet hardware, is designed specifically for real-time applications. The XGE hardware implements full TCP/IP offload in silicon. Full offload provides ultra-high performance, very low CPU loading, and perhaps most important for real-time systems, highly deterministic operation and consistently high data rates. There is no extra copying of data between host and PMC, and no time-consuming interactions between the TOE hardware and a software stack. Thus the latency, performance, and determinism characteristics that are critical in real-time systems can be achieved, Critical I/O officials say.

Rocket IO

“The main thing we see is the move toward FPGA I/O,” especially with Xilinx’s Rocket IO multigigabit serial transceivers, GE Fanuc’s Compston says.

It enables you to use RapidIO, PCIXpress, etc., without the burden of having the protocol behind it, Compston continues. Speeds will depend on the particular FPGA being used, he adds.

The Xilinx Virtex-4 system connectivity technology includes intellectual property cores for protocols and data processing, design tools, and partnerships, enabling high-speed connections between chips, boards, and boxes that deliver the data bandwidth required by a new generation of electronic systems.

Powered by RocketIO multigigabit serial transceivers and SelectIO parallel I/O technologies, the Virtex4 FPGAs enable flexible bridging between emerging serial standards and existing parallel standards. Additionally, embedded PowerPC processors and MicroBlaze soft cores enable control and protocol processing, Xilinx officials say.

The V4DSP from GE Fanuc Embedded Systems uses two Xilinx Virtex-4 FX100s linked to the PMC/XMC and backplane via multigigabit transceivers (MGTs), which offer maximum data bandwidth, while the on-board PowerPC 7448 provides system management and AltiVec floating-point capability to complement the configurable Xilinx nodes.
Click here to enlarge image

The third-generation multigigabit transceiver technology includes SERDES with a broad operating range of 622 megabits per second to 6.5 gigabits per second, and supports multirate applications; compliance with standards and protocols to chips, backplanes, and optical devices; advanced Tx / Rx EQ techniques; as many as 24 transceivers; complete serial I/O solutions; and simulation models-evaluation boards, IP, and technical support.

The V4DSP from GE Fanuc has two Virtex-4 FX100s linked to the PMC/XMC and backplane via multigigabit transceivers (MGTs) offering maximum data bandwidth, while the on-board PowerPC 7448 provides system management and AltiVec floating-point capability to complement the configurable Xilinx nodes.

Layered software supports include AXIS optimized function libraries, inter-processor communication and productivity tools, as well as board support packages (BSPs) for VxWorks 6.x, LynxOS, and built-in test (BIT) for PowerPC. The V4DSP hardware development kit (HDK) offers a quick-start reference for the FPGA implementation.

GE Fanuc Sensor Processing offers a range of ICS-855x high-speed, multi-channel analog-to-digital XMCs that can be configured with the V4DSP. This combination provides a single-slot solution for airborne, ground-mobile, and naval platforms. Further scalability is achievable over VME and StarFabric to the G4DSPXD3 quad PowerPC7448 family of multiprocessor solutions.

Engineers at VMETRO in Houston are also taking advantage of the Xilinx FPGAs with their Phoenix VPF2, a rugged digital signal processing card, which integrates a Freescale MPC8641D processor, two Xilinx Virtex-5 FPGAs and a VXS-based high-speed serial interconnect fabric. The VPF2 tackles high-bandwidth pre-processing and sophisticated back-end processing in one 6U VME64/VITA 41 (VXS) product for demanding DSP applications, such as real-time video processing, surveillance, radar, etc.

The processing heart of the Phoenix VPF2 is a Freescale MPC8641D dual-core processor based on Power Architecture technology. The cores run as fast as 1.25 GHz with 2 gigabytes of memory to support operating systems, such as VxWorks and Linux. Integrated within the MPC8641D processor is an I/O sub-system, including two Gigabit Ethernet ports, serial I/O, dual DDR/DDR2 memory controllers, VME interface, and independent x8 PCI Express links to the Xilinx FPGAs and the XMC/PMC site. The VPF2 has Ethernet options which support RJ45 and optical interfaces or backplane I/O.

Closely coupled to the MPC8641D processor are two Xilinx Virtex-5 SX95T FPGAs. With their on-chip serial transceivers, either of the Virtex-5 FPGA options provides high-bandwidth off-board serial communications channels to processing and I/O subsystems. Since the FPGAs connect to fast I/O streams, they can pre-process incoming data in real time without bottlenecks, VMETRO officials say. The Virtex-5 FPGAs delivers performance for pixel-rate computation, digital down conversion, FFTs, filters, and encryption.

I/O offerings

Pentek, in Upper Saddle River, N.J., released its Model 7140-420 Dual Digital Transceiver with wideband digital downconverter (DDC) core and interpolation filter. This is a complete software radio system in a PMC/XMC module. It includes two A/D and two D/A converters for connection to HF and IF ports. The transceiver, with extended bandwidth, can fulfill a wide range of applications in signal intelligence, military, and commercial communications systems, and in radar. This module is also available in a variety of form factors, including PCI, 3U and 6U CompactPCI, as well as a PMC/XMC conduction-cooled version.

“We expanded the capability of the basic hardware ASICs on the board with the GateFlow Core 420, which adds high-performance wideband DDCs and an interpolation filter. This enhanced product is far more powerful than the original, operating over a wider range of bandwidths at both the input and the output,” says Rodger Hosking, vice president at Pentek.

The device handles a range of input and output signal bandwidths from 4.8 kHz to 40 MHz. “For example, a signal-intelligence customer may acquire a voice band signal with an 8-kHz bandwidth one day, and the next day the same customer might want to acquire a cell phone signal 10-MHz wide,” Hosking says. “By choosing the Model 7140-420, this customer can employ a single product to address multiple applications spanning a huge range of bandwidth requirements.”

The XGE4022 Silicon Stack from Critical I/O is a hardware-based TCP/IP Offload Engine (TOE) available in a conduction-cooled PMC form factor.
Click here to enlarge image

AdvancedIO Systems Inc., in Vancouver, British Columbia, and VMETRO have joined hands through a multiyear original equipment manufacturing (OEM) and distribution agreement. Under the terms of the OEM agreement, AdvancedIO’s technology will become the basis for 10GbE connectivity in VMETRO’s integrated systems sold worldwide. In addition, VMETRO will distribute and support stand-alone AdvancedIO products in North America; VMETRO and its subsidiary VSYSTEMS will be the exclusive distributors of AdvancedIO products in Europe.

AdvancedIO’s flagship product, the V1020, is a 10GbE intelligent XMC/PMC mezzanine module that is a 10GbE packet processing engine functioning as a high-speed open standards-based data pipe. The module improves system performance by offloading communication protocol stacks from embedded processors while presenting a standard sockets-based software interface to the developer. It also facilitates direct streaming of data between 10GbE and other input/output devices, such as analog-to-digital converters. The V1020 is based on reprogrammable FPGA technology to enable the aggregation of other functions, such as packet inspection, load balancing, and signal processing. In addition, the ability to reprogram the module provides the benefits of customization and risk reduction at the system integration phase. The module is currently shipping and supports PCI-X and PCI Express.

Thales Computers in Paris is offering the PENTXM4, a 6U VME single-board computer, which features a twin 1.67-GHz Dual-Core Intel Xeon processor (Codenamed Sossaman) combined with Intel E7520 server-class Memory Controller Hub (MCH). It handles server-like data throughput and provides next-generation PCI-Express I/O bandwidth capabilities. The dual-core technology enables approximately twice the performance at similar power consumption as previous single-core products, Thales officials say.

The PENTXM4 supports all the up-to-date standard interfaces required for a modern communicant server: dual gigabit ports, configurable either on front or on rear P0 in order to support VITA 31.1 backplane networking; high-speed serial storage and data I/O interfaces: SATA-150 and USB 2.0; x8 PCI-Express mezzanine interface to tailor the supported features with high-performance ANSI/VITA 42 XMC, such as Dual Head 3D-graphics or multi-ports Gigabit Ethernet cards; and x4 PCI-Express interface on the enhanced performance P0 connector to expand I/O capabilities via the use of a PMC carrier or any other PCI Express device.

Click here to enlarge image

null

DDC releases multi-I/O avionics PMC card

Engineers at Data Device Corp. (DDC) in Bohemia, N.Y., have designed a new multi-I/O PMC card for avionics applications.

The BU-65590F/M is a multi-protocol PMC card providing new levels of performance and flexibility for systems interfacing to a MIL-STD-1553 or ARINC 429 data bus. There are as many as four dual redundant MIL-STD-1553 channels operating in BC, RT, MT, or RT/MT modes. Sixteen ARINC 429 receive channels and four ARINC 429 transmit channels operate in high/low speed with automatic slew rate adjustment. The card also contains as many as six digital discrete I/Os, an IRIG-B time synchronization input, 2 RS-422/485 Serial I/O channels, and as many as RS-232 Serial I/O Channels. The combination of multiple I/O on one card saves valuable PMC sites on host computers, DDC officials say.

The 1553 interface used on this card is DDC’s Extended Enhanced Mini-ACE (E²MA) architecture which is backwards compatible with the industry standard, Enhanced Mini-ACE software. The card includes the BU-69090SX E²MA 1553 C API (application programming interface) library, and the DD-42950S high-level ARINC 429 C API library software packages, along with source code, samples, detailed documentation, and an offline development environment.

For more information visit, www.ddc-web.com.

Curtiss-Wright announces 6U VPX Gigabit Ethernet (GbE) multi-layer switch/router

Curtiss-Wright Controls Embedded Computing in Leesburg, Va., announced a high-density 6U VPX Gigabit Ethernet (GbE) multilayer switch/router board designed for rugged embedded aerospace and defense applications. The new VPX6-684 FireBlade II, available with 12, 20, or 24 GbE ports and as many as 4x10GbE ports, is targeted at system integrators architecting secure high-performance IPv4/v6 Intra-Platform Networks (IPNs). The board, which operates as either a managed or an unmanaged switch/router, provides performance and configuration advantages to developers building Layer 2 or Layer 2/3+ networks, company officials say. With support for an industry standard CLI, the FireBlade II drastically speeds time to market by reducing set-up, configuration and maintenance times.

The VPX6-684 FireBlade II extends the design of its 6U VME predecessor, the SVME/DMV-682 FireBlade with the high-performance, rugged packaging of the VPX (VITA 46) board architecture. Additional feature enhancements include support for routing as much as 4x10GbE to the FireBlade’s P1 connector, and support for copper interfaces to the backplane for all of the board’s 12, 20, or 24 GbE ports. The Layer 2/3+ management and configuration software runs on the FireBlade’s Freescale 8245 Power Architecture processor.

“Each of the board’s 12, 20, or 24 1GbE interfaces can individually auto-negotiate 10/100/1000 Mbps operation in a non-blocking manner, while its four 10 GbE ports can be used for architecting a high-performance 10G platform backbone or a redundant fail-over network, or alternatively, can be used as an inter-switch stacking solution that can scale to higher port counts for larger systems. If desired, the FireBlade can be scaled down to provide lower port count combinations for smaller systems,” says Nauman Arshad, senior product marketing manager for Curtiss-Wright’s Switching Center of Excellence.

The FireBlade was designed to provide port flexibility. Four of the board’s GbE ports can be configured as optical (1000BaseSX). The first generations of the FireBlade will bring the optical ports out the front of the card with either pluggable connectors or with more rugged pig-tail connectors whose optical strands can easily be routed to the back of the card. Plans for future generations of the FireBlade include direct rear optical routing on VPX backplane using the P5 and/or P6 connector.

When used as a unified threat management (UTM) router the VPX6-684 FireBlade II provides strong perimeter defense via an ICSA certified firewall. Additional security features supported by the board include access control list filtering, network address translation, virtual private network (VPN) with tunneling support (IPSec/L2TP), IPv6 ESP/AH payloads, and encryption/decryption/authentication support.

Mercury Computer Systems and P.A. Semi to bring PWRficient processor to signal and image processing applications

Mercury Computer Systems, in Chelmsford, Mass., and P.A. Semi, Inc., in Santa Clara, Calif., have joined hands to deploy the dual-core PA6T-1682M PWRficient processor as part of multicomputer product offerings from Mercury.

“Processor power consumption becomes a larger challenge every year, particularly in the high-performance class,” says Craig Lund, chief technology officer for Mercury Computer Systems. “What’s most important to many of our signal and image processing customers is GFLOPS per Watt. We have assessed every available option, and P.A. Semi’s PWRficient processor is the clear leader for many of these applications. The power-efficient design enables us to load the system with multiple processors per board without resorting to exotic cooling technology.”

Mercury systems are often deployed in industrial and military settings that require careful management of power and heat. At the same time, customers strive to extract every ounce of performance in these tough environments. By incorporating the PWRficient processor into its system designs, Mercury systems will take advantage of a processor performance per watt increase of as much as 300 percent over alternative solutions, company officials say.

Mercury has selected the PWRficient processor for designs currently underway for next-generation products in all four PowerStream product lines. These product lines represent multiple form factors that include 6U VXS, 3U and 6U VPX-REDI, and larger form-factor systems. Mercury is also making available an early development board in the VXS form factor with PMC/XMC I/O for application developers.

Voice your opinion!

To join the conversation, and become an exclusive member of Military Aerospace, create an account today!